跳到主要內容區

CAD Tool List

★Each tool needs to load the environment variable file by yourself.

★不同版本的Tool會互相影響,請勿同時載入或設定在.tcshrc中。

★Q&A:

★Vendor:Cadence

  1. 32BIT is the default mode, for example, composer(CDL Netlist).
  2. If you want to run 64BIT mode, please set .tcshrc file by yourself.
    #vim ~/.tcshrc
    setenv CDS_AUTO_64BIT ALL
Tool Version Source File OS/Tool Requirement Command
Assura Physical Verification 617_04.15.111 source /usr/cadtool/user_setup/03-assura.csh IC_06.17.709 assura
618_04.16.107 source /usr/cadtool/cadence/cic_setup/assura_618.cshrc IC_06.18.150
5141_04.13.001 source /usr/cadtool/cadence/cic_setup/assura_5141.cshrc IC51.41.151
CTOS 14.20.400 source /usr/cadtool/user_setup/03-ctos.csh    
Encounter Conformal 20.10.100 source /usr/cadtool/user_setup/03-confrml.csh    

Encounter Digital Implementation System

(SOC Encounter)

14.28 source /usr/cadtool/user_setup/13-edi.csh CentOS6 encounter
GENUS 20.10 source /usr/cadtool/user_setup/03-genus.csh    
Innovus Implementation System 20.10 source /usr/cadtool/user_setup/03-innovus.csh   innovus
20.15 source /usr/cadtool/cadence/cic_setup/innovus-20.15.cshrc    
Incisive Enterprise Simulator 15.20.084 source /usr/cadtool/user_setup/03-incisiv.csh 僅可使用verdi產生的fsdb檔 ncverilog
22.03.003 source /usr/cadtool/user_setup/03-xcelium.csh    
JASPER 2021.03 source /usr/cadtool/user_setup/03-jasper.csh   jaspergold
Cadence QRC Extraction 21.11 source /usr/cadtool/cadence/cic_setup/quantus.cshrc    
Stratus 21.10.100 source /usr/cadtool/user_setup/03-stratus.csh    
Tempus Timing Signoff Solution 20.10 source /usr/cadtool/user_setup/03-ssv.csh    
21.12 source /usr/cadtool/cadence/cic_setup/ssv-21.12.cshrc    
Virtuoso Analog Design Environment IC_06.17.709 source /usr/cadtool/user_setup/03-ic.csh   virtuoso
IC_06.18.150 source  /usr/cadtool/cadence/cic_setup/ic_06.18.150.cshrc   virtuoso
IC51.41.151 source  /usr/cadtool/cadence/cic_setup/ic_51.41.151.csh   icfb

IC5 ADE L授權常有不足使用,建議自行將各製程 PDK 的 CDBA版本的Schematic,透過 cdb2oa 軟體來將 Schematic 轉移至 OA 版本,以06.17.709版本轉檔再以06.18.150版本跑模擬。

Virtuoso Multi-Mode Simulation 19.1.0.428.isr9 source /usr/cadtool/user_setup/03-spectre.csh   spectre -h

★Vendor:Synopsys

Tool Version Source File OS/Tool Requirement Command
CustomCompiler 2023.03-SP1 source /usr/cadtool/user_setup/08-customcompiler.csh    
CustomExplorer 2023.03-SP1

source /usr/cadtool/user_setup/08-customwaveview.csh

  cx/wv
2020.03 source /usr/cadtool/user_setup/08-customexplorer.csh  
2015 source /usr/cadtool/cad/synopsys/CIC/spice_explorer.cshrc  
Design Compiler 2020.09-sp5 source /usr/cadtool/user_setup/08-synthesis.csh   dv
DesignWare Library 2017.12 source /usr/cadtool/user_setup/10-dwip.csh    
FineSim 2020.12-sp2 source /usr/cadtool/user_setup/10-finesim.csh    
Formality 2021.06 source /usr/cadtool/user_setup/08-formality.csh

Data:

/usr/cad/synopsys/formality/data/

 
Hercules 2008.09 source /usr/cadtool/user_setup/08-hercules.csh   hercules
HSIM 2020.12-sp2 source /usr/cadtool/user_setup/08-hsimplus.csh    
Hspice 2020.12-sp2 source /usr/cadtool/user_setup/08-hspice.csh

Data:

/usr/cadtool/cad/synopsys/hspice/data/

hspice
2020.03-sp2-2 source /usr/cadtool/cad/synopsys/CIC/hspice-2020.03-sp2-2.cshrc
IC Compiler 2020.09-sp5 source /usr/cad/synopsys/CIC/icc.csh   icc / icc_shell
IC Compiler 2 2020.09-sp3 source /usr/cadtool/user_setup/08-icc2.csh   icc2_shell -64 -gui
2021.06 source /usr/cadtool/cad/synopsys/CIC/icc2_2021.cshrc CentOS7
IC Validator 2022.12-SP3 source /usr/cadtool/user_setup/08-icv.csh    
Laker Custom Layout Automation System 2021.03 source /usr/cadtool/user_setup/08-laker.csh   laker
移轉Laker ADP至Custom Compiler   source /usr/cadtool/user_setup/laker_tmp_license.csh   adp
Milkyway 2020.09 source /usr/cadtool/user_setup/08-milkyway.csh    
Nanosim 2013.03 source /usr/cadtool/user_setup/08-nanosim.csh   nanosim

Power Compiler(synthesis,synenc)

TestMAX DFT (DFT Compiler)

2020.09-sp5 source /usr/cadtool/user_setup/08-synthesis.csh   dc_shell
PowerReplay 2022.06 source /usr/cadtool/user_setup/08-powrep.csh CentOS7  
PrimePower 2021.06 source /usr/cadtool/user_setup/08-primepower.csh    
PrimeRail 2017.06-sp2 source /usr/cadtool/user_setup/08-primerail.csh    
PrimeSim Continuum(PrimeSim SPICE、PrimeSim XA、PrimeSim HSPICE) 2023.03-SP1 source /usr/cadtool/user_setup/08-primesim.csh    
PrimeTime-PX 2021.06 source /usr/cadtool/user_setup/08-primetime.csh   pt
2018.06 source /usr/cadtool/cad/synopsys/CIC/primetime_2018.cshrc  
2016.12 source /usr/cadtool/cad/synopsys/CIC/primetime.cshrc.106  
PrimeWave Design Environment 2023.03-SP1 source /usr/cadtool/user_setup/08-primewave.csh    
SpyGlass 2020.12-SP2 source /usr/cadtool/user_setup/08-spyglass.csh   spyglass
Star-RCXT 2022.12-SP4 source /usr/cadtool/user_setup/08-star-rcxt.csh    
TCAD 2022.12 source /usr/cadtool/user_setup/08-tcad.csh   swb
TetraMAX ATPG 2020.09 source /usr/cadtool/user_setup/08-tmax.csh   tmax
VCS 2022.06 source /usr/cadtool/user_setup/08-vcs.csh CentOS7  
VC Formal 2020.03 source /usr/cadtool/user_setup/08-vc_formal.csh    
VCS MX 2017.12 source /usr/cadtool/user_setup/08-vcs-mx.csh    
Verdi Automated Debug System 2022.06 source /usr/cadtool/user_setup/08-verdi.csh fsdb file需要重新透過此版本dump fsdb

verdi/nWave

debussy

★Vendor:Siemens

Tool Version Source File OS/Tool Requirement Command
Calibre 2016.4_15.11 source /usr/cadtool/user_setup/05-calibre.csh   calibre -gui
2017.4_19.14 source /usr/cadtool/mentor/cic_setup/calibre_ixl_2017.csh  
2019.2 source /usr/cadtool/mentor/cic_setup/calibre_aoi_cal_2019.2.cshrc  
2021.1 source /usr/cadtool/mentor/cic_setup/calibre_ixl_2021.csh  
Catapult HLS 2024.1 source /usr/cadtool/user_setup/05-catapult.csh   catapult
ModelSim 2021.1 source /usr/cadtool/user_setup/05-modelsim.csh CentOS7 vsim
Questa_Sim 2021.1 source /usr/cadtool/user_setup/05-questasim.csh    

★Vendor:iStart(HOY)

Tool Version Source File OS/Tool Requirement Command
brains 2021.06 source /usr/cadtool/user_setup/04-brains.csh

CentOS6

Data:/usr/cadtool/Brains/cur/data

ezBist --bflconfig SNN.bfl

★Vendor:KeySight

Tool Version Source File OS/Tool Requirement Command
Advanced Design System(ADS) 2019 source /usr/cadtool/user_setup/ads.cshrc Network License Server:1900@lshc ads
 
=== Other Tool===
★Vendor:Silvaco 新年度的授權仍在採購中,目前無法使用。
Tool Version Source File OS/Tool Requirement Command
Silvaco 2021.06 source /usr/cadtool/user_setup/12-silvaco.csh   deckbuild
Tool information(Only NTHU-EE network):http://140.114.24.117:3162/Report/ActiveLicenses
Update:2023/10/2
瀏覽數: